極端紫外線リソグラフィ(EUVL)市場 : 2028年までの世界予測

出版:MarketsandMarkets(マーケッツアンドマーケッツ) 出版年月:2023年7月

Extreme Ultraviolet (EUV) Lithography Market – Global Forecast to 2028

極端紫外線リソグラフィ(EUVL)市場 : 機器 (光源、マスク、光学部品)、エンドユーザー [統合デバイス製造業者 (IDM) &ファウンドリ]、地域別 (アメリカ大陸、ヨーロッパ、アジア太平洋) – 2028年までの世界予測
Extreme Ultraviolet (EUV) Lithography Market by Equipment (Light Sources, Masks and Optics), End User (Integrated Device Manufacturer (IDM) and Foundry) and Region (Americas, Europe and Asia Pacific) – Global Forecast to 2028

ページ数144
図表数119
価格
シングルユーザライセンスUSD 4,950
マルチユーザライセンス(5名)USD 6,650
コーポレート(サイト)ライセンスUSD 8,150
エンタープライズライセンスUSD 10,000
種別英文調査報告書

レポート目次  お問合せ・ご注文  価格・納期について

無料サンプル

MarketsandMarkets(マーケッツアンドマーケッツ)「極端紫外線リソグラフィ(EUVL)市場 : 機器 (光源、マスク、光学部品)、エンドユーザー [統合デバイス製造業者 (IDM) &ファウンドリ]、地域別 (アメリカ大陸、ヨーロッパ、アジア太平洋) – 2028年までの世界予測 – Extreme Ultraviolet (EUV) Lithography Market by Equipment (Light Sources, Masks and Optics), End User (Integrated Device Manufacturer (IDM) and Foundry) and Region (Americas, Europe and Asia Pacific) – Global Forecast to 2028」は世界の極端紫外線(EUV)リソグラフィ市場を調査し、主要セグメント毎の分析・予測結果を提供します。

Report Overview

The EUV lithography market is expected to reach USD 25.3 billion by 2028 from USD 9.4 billion in 2023, at a CAGR of 21.8% during the 2023–2028 period. EUV lithography addresses the limitations of traditional optical lithography, which has reached its physical limits in terms of resolution.

極端紫外線リソグラフィ(EUVL)市場 : 2028年までの世界予測

The shorter wavelength of EUV light allows for the creation of smaller features and tighter patterns on silicon wafers, enabling the fabrication of advanced microchips with greater transistor density. The key component in EUV lithography is the EUV light source, which involves generating and manipulating highly energetic light at 13.5 nm. This is achieved by using a laser to create plasma from a tin droplet, which emits EUV radiation. The EUV light is then reflected and focused using a series of precisely engineered mirrors to transfer the desired pattern onto a silicon wafer coated with a light-sensitive material called a photoresist.

極端紫外線リソグラフィ(EUVL)市場 12EUV lithography offers several advantages over previous lithography techniques. First, it allows for a significant increase in chip density, enabling the production of more powerful and complex ICs. Second, it simplifies the manufacturing process by reducing the number of steps required for pattern transfer, enhancing production efficiency. Lastly, EUV lithography enables better control over critical dimensions and reduces pattern variability, resulting in improved chip performance and yield. EUV lithography plays a critical role in the production of advanced ICs for various applications, including high-performance computing, artificial intelligence, and mobile devices.

Foundries are expected to grow at the highest CAGR during the forecast period.
In the realm of business, a foundry is a specialized manufacturing facility that offers semiconductor fabrication services to semiconductor companies and integrated device manufacturers (IDMs). Foundries primarily focus on the manufacturing process of the semiconductor industry and do not engage in chip design. Foundries play a vital role in the semiconductor industry by providing manufacturing services to companies that either lack their own fabrication facilities or opt to outsource their chip production.
Fabless companies and IDs collaborate with foundries to transfer their chip designs, known as intellectual property (IP), to the foundry for fabrication. Prominent foundries that offer semiconductor manufacturing services, including EUV lithography, encompass companies such as Taiwan Semiconductor Manufacturing Company Limited, GlobalFoundries, Samsung Foundry, and others. The growth of foundry companies can be attributed to their significant investments in EUV lithography, with Asia Pacific countries serving as major contributors to the expansion and advancement of the EUV lithography market.

極端紫外線リソグラフィ(EUVL)市場 - ecosystemThe EUV mask segment is expected to grow at the 2nd highest CAGR of the EUV lithography equipment market during the forecast period.
The EUV Mask, also referred to as EUV reticle or EUV photomask, plays a crucial role in the advanced lithography process called extreme ultraviolet lithography (EUVL). EUV lithography is a state-of-the-art technology employed in the manufacturing of next-generation semiconductor devices characterized by smaller feature sizes and enhanced performance. The EUV mask is instrumental in the patterning of integrated circuits on a semiconductor wafer by containing the circuit patterns projected onto the wafer during the lithography process. Unlike traditional optical masks utilized in older lithography techniques, EUV masks are specifically designed to function with ultraviolet light with a wavelength of approximately 13.5 nanometers. They consist of a thin substrate coated with multiple layers of reflective materials, which aid in reflecting and focusing the EUV light onto the wafer, enabling precise and high-resolution patterning. The intricate construction of EUV masks involves advanced manufacturing techniques and rigorous quality control measures to ensure the accuracy and dependability of the circuit patterns. Several companies are involved in the manufacturing of EUV masks and related products, including Toppan Inc., KLA Corporation, ADVANTEST CORPORATION, AGC Inc., and more.

極端紫外線リソグラフィ(EUVL)市場 - region

During the forecast period, the Asia Pacific region is expected to grow at the highest CAGR.
The Asia Pacific region holds significant importance in EUV lithography. When examining the EUV lithography market in this region, countries like China, Japan, Taiwan, and South Korea come to the forefront. Taiwan holds the highest market share in the Asia Pacific region.
Taiwan is home to leading semiconductor companies such as Taiwan Semiconductor Manufacturing Company Limited (TSMC), which is the world’s largest dedicated semiconductor foundry. TSMC has been at the forefront of adopting and advancing EUV lithography technology, enabling the production of advanced chips with smaller feature sizes and higher performance. The company has made substantial investments in EUV infrastructure and has been instrumental in driving the development and commercialization of EUV lithography systems. With its strong semiconductor ecosystem and commitment to technological innovation, Taiwan plays a crucial role in advancing the capabilities and widespread adoption of EUV lithography in the semiconductor industry. Some companies were innovating new technologies and systems in EUV lithography. For instance, in August 2020, Taiwan Semiconductor Manufacturing Company Limited developed the world’s 1st environment-friendly dry clean technique for EUV masks with the motive to replace the traditional clean process. It reduces the usage of water and chemicals from 735 metric tons and 36 metric tons.

極端紫外線リソグラフィ(EUVL)市場 - size

The break-up of the profile of primary participants in the EUV lithography market-
• By Company Type: Tier 1 – 30%, Tier 2 – 50%, Tier 3 – 20%
• By Designation Type: C Level – 25%, Director Level – 35%, Others – 40%
• By Region Type: North America- 35%, Europe – 30%, Asia Pacific – 25%, RoW – 10%,

The major players in the EUV lithography market are ASML (Netherlands), Carl Zeiss AG(Germany), TOPPAN Inc.(Japan), NTT Advanced Technology Corporation(Japan), KLA Corporation(US), ADVANTEST CORPORATION(Japan), Ushio Inc.(Japan), SUSS MicroTec SE(Germany), AGC Inc.(Japan), Lasertec Corporation(Japan), NuFlare Technology(Japan), Energetiq Technology Inc.(US), Photronics, Inc.(US), HOYA Corporation(Japan), TRUMPF(Germany), Rigaku Corporation(Japan), Edmund Optics Ltd.(US), Park Systems(Korea), Zygo Corporation(US), Imagine Optic(US) and Applied Materials, Inc.(US).

極端紫外線リソグラフィ(EUVL)市場scopeResearch Coverage
The report segments the EUV lithography market and forecasts its size based on equipment, end user, and region. The report also provides a comprehensive review of drivers, restraints, opportunities, and challenges influencing market growth. The report also covers qualitative aspects in addition to the quantitative aspects of the market.
Reasons to buy the report:
The report will help the market leaders/new entrants in this market with information on the closest approximate revenues for the overall EUV lithography market and related segments. This report will help stakeholders understand the competitive landscape and gain more insights to strengthen their position in the market and plan suitable go-to-market strategies. The report also helps stakeholders understand the pulse of the market and provides them with information on key market drivers, restraints, opportunities, and challenges.

The report provides insights on the following pointers:
• Analysis of key drivers (Technological advancements and node migration are driving the market), restraints (High cost of implementing EUV Lithography system), opportunities (Adoption of EUV lithography in advanced semiconductor manufacturing), and challenges (Source power and productivity in EUV lithography act as a challenge for the market) influencing the growth of the EUV lithography market.
• Product Development/Innovation: Detailed insights on upcoming technologies, research & development activities, and new product launches in the EUV lithography market
• Market Development: Comprehensive information about lucrative markets – the report analyses the EUV lithography market across varied regions.
• Market Diversification: Exhaustive information about new products, untapped geographies, recent developments, and investments in the EUV lithography market
• Competitive Assessment: In-depth assessment of market shares, growth strategies, and product offerings of leading players like ASML (Netherlands), Carl Zeiss AG(Germany), TOPPAN Inc. (Japan), HOYA Corporation(Japan), TRUMPF(Germany), and KLA Corporation (US).


目次

1 INTRODUCTION 19
1.1 STUDY OBJECTIVES 19
1.2 MARKET DEFINITION 19
1.3 STUDY SCOPE 20
1.3.1 MARKETS COVERED 20
FIGURE 1 EUV LITHOGRAPHY MARKET SEGMENTATION 20
1.3.2 INCLUSIONS AND EXCLUSIONS 20
1.3.3 REGIONAL SCOPE 21
1.3.4 YEARS CONSIDERED 21
1.4 CURRENCY CONSIDERED 22
1.5 UNITS CONSIDERED 22
1.6 LIMITATIONS 22
1.7 STAKEHOLDERS 22
1.8 SUMMARY OF CHANGES 22
1.8.1 RECESSION IMPACT 23
2 RESEARCH METHODOLOGY 24
2.1 RESEARCH DATA 24
FIGURE 2 EUV LITHOGRAPHY MARKET: RESEARCH DESIGN 25
2.1.1 SECONDARY DATA 25
2.1.1.1 Key data from secondary sources 26
2.1.1.2 Key secondary sources 26
2.1.2 PRIMARY DATA 27
2.1.2.1 Key data from primary sources 27
2.1.2.2 Key participants in primary interviews 27
2.1.2.3 Breakdown of primary interviews 28
2.1.2.4 Key industry insights 28
2.1.3 SECONDARY AND PRIMARY RESEARCH 29
2.2 MARKET SIZE ESTIMATION 29
FIGURE 3 MARKET SIZE ESTIMATION METHODOLOGY: APPROACH 1 (SUPPLY SIDE): REVENUE GENERATED BY KEY COMPONENT SUPPLIERS IN EUV LITHOGRAPHY MARKET 30
FIGURE 4 MARKET SIZE ESTIMATION METHODOLOGY: APPROACH 2 (SUPPLY SIDE): ILLUSTRATION OF REVENUE ESTIMATED FOR KEY COMPONENT MANUFACTURERS IN EUV LITHOGRAPHY MARKET 31
FIGURE 5 MARKET SIZE ESTIMATION METHODOLOGY: APPROACH 3 (DEMAND SIDE) —BOTTOM-UP ESTIMATION OF EUV LITHOGRAPHY MARKET SIZE BASED ON REGION 32
2.2.1 BOTTOM-UP APPROACH 32
2.2.1.1 Approach to derive market size using bottom-up analysis 32
FIGURE 6 MARKET SIZE ESTIMATION METHODOLOGY: BOTTOM-UP APPROACH 33
2.2.2 TOP-DOWN APPROACH 33
2.2.2.1 Approach to derive market size using top-down analysis 33
FIGURE 7 MARKET SIZE ESTIMATION METHODOLOGY: TOP-DOWN APPROACH 33
2.3 MARKET SHARE ESTIMATION 34
2.4 DATA TRIANGULATION 35
FIGURE 8 DATA TRIANGULATION 35
2.5 RISK ASSESSMENT 36
TABLE 1 RISK FACTOR ANALYSIS 36
2.5.1 RECESSION IMPACT ANALYSIS 36
2.6 RESEARCH ASSUMPTIONS AND LIMITATIONS 37
2.6.1 RESEARCH ASSUMPTIONS 37
2.6.2 RESEARCH LIMITATIONS 37
3 EXECUTIVE SUMMARY 38
3.1 EUV LITHOGRAPHY MARKET: RECESSION IMPACT 38
FIGURE 9 EUV LITHOGRAPHY MARKET: IMPACT OF RECESSION 39
FIGURE 10 FOUNDRIES TO COMMAND EUV LITHOGRAPHY MARKET IN 2023 39
FIGURE 11 EUV LITHOGRAPHY MARKET IN ASIA PACIFIC TO GROW AT HIGHEST CAGR DURING FORECAST PERIOD 40
4 PREMIUM INSIGHTS 41
4.1 ATTRACTIVE OPPORTUNITIES FOR PLAYERS IN EUV LITHOGRAPHY MARKET 41
FIGURE 12 USE OF TECHNOLOGY IN MICROPROCESSORS, INTEGRATED CIRCUITS, AND MEMORY DEVICES TO CREATE OPPORTUNITIES FOR PROVIDERS OF EUV LITHOGRAPHY SYSTEMS 41
4.2 EUV LITHOGRAPHY MARKET IN ASIA PACIFIC, BY END USER AND COUNTRY 42
FIGURE 13 FOUNDRIES AND TAIWAN TO HOLD LARGEST SHARE OF EUV LITHOGRAPHY MARKET IN ASIA PACIFIC IN 2023 42
4.3 EUV LITHOGRAPHY MARKET, BY END USER 42
FIGURE 14 FOUNDRIES TO HOLD LARGER SHARE OF EUV LITHOGRAPHY
MARKET IN 2028 42
4.4 EUV LITHOGRAPHY MARKET, BY COMPONENT 43
FIGURE 15 LIGHT SOURCES TO ACCOUNT FOR LARGEST MARKET SHARE IN 2028 43
4.5 EUV LITHOGRAPHY MARKET, BY COUNTRY 43
FIGURE 16 SOUTH KOREA TO RECORD HIGHEST CAGR IN EUV LITHOGRAPHY MARKET IN ASIA PACIFIC DURING FORECAST PERIOD 43
5 MARKET OVERVIEW 44
5.1 INTRODUCTION 44
5.2 MARKET DYNAMICS 44
FIGURE 17 EUV LITHOGRAPHY MARKET: DRIVERS, RESTRAINTS, OPPORTUNITIES, AND CHALLENGES 44
5.2.1 DRIVERS 45
5.2.1.1 Rapid migration toward advanced technologies and nodes 45
5.2.1.2 Growing demand for high-performance computing (HPC) 45
5.2.1.3 Increasing complexity of integrated circuits 45
FIGURE 18 EUV LITHOGRAPHY MARKET DRIVERS: IMPACT ANALYSIS 46
5.2.2 RESTRAINTS 46
5.2.2.1 Need for significant upfront capital investment 46
5.2.2.2 Requirement for advanced infrastructure and highly skilled workforce 47
FIGURE 19 EUV LITHOGRAPHY MARKET RESTRAINTS: IMPACT ANALYSIS 47
5.2.3 OPPORTUNITIES 48
5.2.3.1 Increasing investments in developing advanced EUV lithography products and semiconductor devices 48
5.2.3.2 Rising deployment of EUVL technology in new applications, including microprocessors, ICs, and memory modules/chips 48
5.2.3.3 Development of advanced memory modules/chips for next-generation memory devices 49
5.2.3.4 Commercialization of advanced displays to offer enhanced visual experience 49
5.2.3.5 Use of advanced patterning technologies in production of photonics and optics 50
FIGURE 20 EUV LITHOGRAPHY MARKET OPPORTUNITIES: IMPACT ANALYSIS 50
5.2.4 CHALLENGES 51
5.2.4.1 Achieving and maintaining high power and faster production of chips 51
5.2.4.2 Detecting and repairing mask defects and fixing yield issues 51
FIGURE 21 EUV LITHOGRAPHY MARKET CHALLENGES: IMPACT ANALYSIS 52
5.3 VALUE CHAIN ANALYSIS 52
FIGURE 22 EUV LITHOGRAPHY VALUE CHAIN ANALYSIS 52
5.3.1 R&D ENGINEERS 53
5.3.2 COMPONENT MANUFACTURERS 53
5.3.3 SYSTEM INTEGRATORS 53
5.3.4 MARKETING & SALES SERVICE PROVIDERS 53
5.3.5 END USERS 53
5.4 REVENUE SHIFT AND NEW REVENUE POCKETS FOR PLAYERS IN EUV LITHOGRAPHY MARKET 53
5.5 ECOSYSTEM MAPPING 54
TABLE 2 ROLES OF COMPANIES IN EUV LITHOGRAPHY ECOSYSTEM 54
FIGURE 23 KEY PLAYERS IN EUV LITHOGRAPHY MARKET 55
5.6 PRICING ANALYSIS 55
5.6.1 AVERAGE SELLING PRICE (ASP) TREND 55
FIGURE 24 ASP OF EUV LITHOGRAPHY SYSTEM, 2019 TO 2028 55
5.7 TECHNOLOGY ANALYSIS 55
5.7.1 EXTREME ULTRAVIOLET REFLECTOMETRY (EUVR) 55
5.8 PORTER’S FIVE FORCES ANALYSIS 56
FIGURE 25 PORTER’S FIVE FORCES ANALYSIS 56
TABLE 3 PORTER’S FIVE FORCES ANALYSIS WITH THEIR IMPACT 56
5.8.1 INTENSITY OF COMPETITIVE RIVALRY 56
5.8.2 THREAT OF NEW ENTRANTS 57
5.8.3 THREAT OF SUBSTITUTES 57
5.8.4 BARGAINING POWER OF BUYERS 57
5.8.5 BARGAINING POWER OF SUPPLIERS 57
5.9 CASE STUDIES 58
5.9.1 LAM RESEARCH ANNOUNCES SIGNIFICANT BREAKTHROUGH IN EUV LITHOGRAPHY TECHNOLOGY 58
TABLE 4 LAM RESEARCH INTRODUCES INNOVATIVE DRY RESIST TECHNOLOGY TO IMPROVE RESOLUTION, PRODUCTIVITY, AND YIELD FOR EUV LITHOGRAPHY 58
5.9.2 TSMC LIMITED BOOSTS CHIP PRODUCTION CAPACITY WITH ASML’S EUV SYSTEMS 59
TABLE 5 TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY’S COMMITMENT TO EUV TECHNOLOGY AND MARKET GROWTH 59
5.10 TRADE DATA ANALYSIS 59
FIGURE 26 COUNTRY-WISE EXPORT DATA FOR PRODUCTS CLASSIFIED UNDER HS CODE 8442, 2018–2022 59
TABLE 6 EXPORT SCENARIO FOR HS CODE 8442-COMPLIANT PRODUCTS,
BY COUNTRY, 2018–2022 (USD THOUSAND) 60
FIGURE 27 COUNTRY-WISE IMPORT DATA FOR PRODUCTS CLASSIFIED UNDER HS CODE 8442, 2018–2022 60
TABLE 7 IMPORT SCENARIO FOR HS CODE 8442-COMPLIANT PRODUCTS,
BY COUNTRY, 2018–2022 (USD THOUSAND) 61
5.11 PATENT ANALYSIS 61
5.11.1 DOCUMENT TYPE 61
TABLE 8 PATENTS FILED 61
FIGURE 28 PATENTS FILED FROM 2013 TO 2022 62
5.11.2 PUBLICATION TREND 62
FIGURE 29 NO. OF PATENTS PUBLISHED EACH YEAR FROM 2013 TO 2022 62
5.11.3 JURISDICTION ANALYSIS 63
FIGURE 30 JURISDICTION ANALYSIS 63
5.11.4 TOP PATENT OWNERS 63
FIGURE 31 TOP 10 COMPANIES IN TERMS OF PUBLISHED PATENT APPLICATIONS FROM 2013 TO 2022 63
TABLE 9 TOP 20 OWNERS OF PUBLISHED PATENTS IN LAST 10 YEARS 64
5.12 REGULATORY LANDSCAPE 65
5.12.1 REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS 65
TABLE 10 EUV LITHOGRAPHY: REGULATORY LANDSCAPE 65
5.12.2 REGIONAL REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS 66
TABLE 11 NORTH AMERICA: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS 66
TABLE 12 EUROPE: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS 66
TABLE 13 ASIA PACIFIC: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS 67
TABLE 14 ROW: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS 67
5.13 KEY CONFERENCES AND EVENTS, 2023–2024 68
5.14 KEY STAKEHOLDERS AND BUYING CRITERIA 68
5.14.1 KEY STAKEHOLDERS IN BUYING PROCESS 68
FIGURE 32 INFLUENCE OF STAKEHOLDERS ON BUYING PROCESS FOR END USERS 68
TABLE 15 INFLUENCE OF STAKEHOLDERS IN BUYING PROCESS FOR END USERS (%) 69
5.14.2 KEY BUYING CRITERIA 69
TABLE 16 KEY BUYING CRITERIA, BY END USER 69
6 EUV LITHOGRAPHY MARKET, BY COMPONENT 70
6.1 INTRODUCTION 71
FIGURE 33 LIGHT SOURCES TO RECORD HIGHEST CAGR IN EUV LITHOGRAPHY MARKET DURING FORECAST PERIOD 71
TABLE 17 EUV LITHOGRAPHY MARKET, BY COMPONENT, 2019–2022 (USD MILLION) 71
TABLE 18 EUV LITHOGRAPHY MARKET, BY COMPONENT, 2023–2028 (USD MILLION) 72
6.2 LIGHT SOURCES 72
6.2.1 WIDE USE OF LPP EUV LIGHT SOURCES IN COMMERCIAL SYSTEMS TO DRIVE MARKET 72
6.3 OPTICS 72
6.3.1 HIGH PRECISION AND ACCURACY OF EUV OPTICS TO BOOST ADOPTION BY EUV LITHOGRAPHY SYSTEM MANUFACTURERS 72
6.4 MASKS 73
6.4.1 INCREASING FOCUS ON DEVELOPING NEXT-GENERATION SEMICONDUCTOR DEVICES TO FUEL SEGMENTAL GROWTH 73
6.5 OTHERS 73
7 EUV LITHOGRAPHY MARKET, BY END USER 75
7.1 INTRODUCTION 76
FIGURE 34 FOUNDRIES TO RECORD HIGHER CAGR IN EUV LITHOGRAPHY MARKET DURING FORECAST PERIOD 76
TABLE 19 EUV LITHOGRAPHY MARKET, BY END USER, 2019–2022 (USD MILLION) 76
TABLE 20 EUV LITHOGRAPHY MARKET, BY END USER, 2023–2028 (USD MILLION) 77
TABLE 21 EUV LITHOGRAPHY MARKET, 2019–2022 (MILLION UNITS) 77
TABLE 22 EUV LITHOGRAPHY MARKET, 2023–2028 (MILLION UNITS) 77
7.2 INTEGRATED DEVICE MANUFACTURERS (IDMS) 77
7.2.1 RISING FOCUS OF IDMS ON DEVELOPING ADVANCED AND POWER-EFFICIENT MICROCHIPS AND ICS TO BOOST SEGMENTAL GROWTH 77
TABLE 23 INTEGRATED DEVICE MANUFACTURERS (IDMS): EUV LITHOGRAPHY MARKET, BY REGION, 2019–2022 (USD MILLION) 78
TABLE 24 INTEGRATED DEVICE MANUFACTURERS (IDMS): EUV LITHOGRAPHY MARKET, BY REGION, 2023–2028 (USD MILLION) 78
TABLE 25 INTEGRATED DEVICE MANUFACTURERS (IDMS): EUV LITHOGRAPHY MARKET IN ASIA PACIFIC, BY COUNTRY, 2019–2022 (USD MILLION) 78
TABLE 26 INTEGRATED DEVICE MANUFACTURERS (IDMS): EUV LITHOGRAPHY MARKET IN ASIA PACIFIC, BY COUNTRY, 2023–2028 (USD MILLION) 79
7.3 FOUNDRIES 79
7.3.1 CONSTANT ADVANCES IN SEMICONDUCTOR NODES TO DRIVE DEMAND FOR EUV LITHOGRAPHY FROM FOUNDRIES 79
TABLE 27 FOUNDRIES: EUV LITHOGRAPHY MARKET, BY REGION,
2019–2022 (USD MILLION) 79
TABLE 28 FOUNDRIES: EUV LITHOGRAPHY MARKET, BY REGION,
2023–2028 (USD MILLION) 80
TABLE 29 FOUNDRIES: EUV LITHOGRAPHY MARKET IN ASIA PACIFIC, BY COUNTRY, 2019–2022 (USD MILLION) 80
TABLE 30 FOUNDRIES: EUV LITHOGRAPHY MARKET IN ASIA PACIFIC, BY COUNTRY, 2023–2028 (USD MILLION) 80
8 EUV LITHOGRAPHY MARKET, BY REGION 81
8.1 INTRODUCTION 82
FIGURE 35 ASIA PACIFIC TO REGISTER HIGHEST CAGR IN EUV LITHOGRAPHY MARKET DURING FORECAST PERIOD 82
TABLE 31 EUV LITHOGRAPHY MARKET, BY REGION, 2019–2022 (USD MILLION) 82
TABLE 32 EUV LITHOGRAPHY MARKET, BY REGION, 2023–2028 (USD MILLION) 82
8.2 AMERICAS 83
8.2.1 WELL-ESTABLISHED SEMICONDUCTOR INDUSTRY TO SUPPORT MARKET GROWTH 83
FIGURE 36 AMERICAS: MARKET SNAPSHOT 84
TABLE 33 AMERICAS: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION) 84
TABLE 34 AMERICAS: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION) 84
8.2.2 AMERICAS: RECESSION IMPACT 85
8.3 EUROPE 85
8.3.1 INVESTMENTS BY GERMANY, NETHERLANDS, AND FRANCE IN R&D OF EUV LITHOGRAPHY PRODUCTS TO DRIVE MARKET 85
FIGURE 37 EUROPE: MARKET SNAPSHOT 86
TABLE 35 EUROPE: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION) 86
8.3.2 EUROPE: RECESSION IMPACT 86
8.4 ASIA PACIFIC 87
FIGURE 38 ASIA PACIFIC: MARKET SNAPSHOT 88
TABLE 36 ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY COUNTRY,
2019–2022 (USD MILLION) 88
TABLE 37 ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY COUNTRY,
2023–2028 (USD MILLION) 89
TABLE 38 ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION) 89
TABLE 39 ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION) 89

8.4.1 CHINA 89
8.4.1.1 Strong semiconductor production capabilities to drive market 89
TABLE 40 CHINA: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION) 90
TABLE 41 CHINA: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION) 90
8.4.2 JAPAN 90
8.4.2.1 Presence of well-known providers of high-quality semiconductor chips to fuel market growth 90
TABLE 42 JAPAN: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION) 91
TABLE 43 JAPAN: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION) 91
8.4.3 TAIWAN 91
8.4.3.1 Substantial investments by semiconductor manufacturing firms to develop eco-friendly EUV system components to drive market 91
TABLE 44 TAIWAN: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION) 91
TABLE 45 TAIWAN: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION) 92
8.4.4 SOUTH KOREA 92
8.4.4.1 Increasing use of EUV lithography to produce cutting-edge semiconductor chips to accelerate market growth 92
TABLE 46 SOUTH KOREA: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION) 92
TABLE 47 SOUTH KOREA: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION) 92
8.4.5 REST OF ASIA PACIFIC 93
TABLE 48 REST OF ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY END USER,
2019–2022 (USD MILLION) 93
TABLE 49 REST OF ASIA PACIFIC: EUV LITHOGRAPHY MARKET, BY END USER,
2023–2028 (USD MILLION) 93
8.4.6 ASIA PACIFIC: RECESSION IMPACT 93
9 COMPETITIVE LANDSCAPE 94
9.1 OVERVIEW 94
FIGURE 39 KEY STRATEGIES UNDERTAKEN BY LEADING PLAYERS IN EUV LITHOGRAPHY MARKET FROM 2021 TO 2023 94
9.2 MARKET SHARE AND RANKING ANALYSIS 94
TABLE 50 EUV LITHOGRAPHY MARKET: DEGREE OF COMPETITION 95
FIGURE 40 MARKET SHARE OF TOP 5 PLAYERS OFFERING EUV LITHOGRAPHY COMPONENTS 95
9.2.1 FIVE-YEAR COMPANY REVENUE ANALYSIS 97
FIGURE 41 FIVE-YEAR REVENUE ANALYSIS OF KEY COMPANIES 97
9.3 COMPANY EVALUATION MATRIX, 2022 98
FIGURE 42 EUV LITHOGRAPHY MARKET (GLOBAL): EVALUATION MATRIX FOR KEY COMPANIES, 2022 98
9.3.1 STARS 98
9.3.2 EMERGING LEADERS 99
9.3.3 PERVASIVE PLAYERS 99
9.3.4 PARTICIPANTS 99
9.4 COMPETITIVE BENCHMARKING 99
TABLE 51 COMPANY FOOTPRINT 99
TABLE 52 END USER FOOTPRINT 100
TABLE 53 REGION FOOTPRINT 100
9.5 EVALUATION MATRIX FOR STARTUPS/SMES, 2022 101
FIGURE 43 EUV LITHOGRAPHY MARKET: EVALUATION
MATRIX FOR STARTUPS/SMES, 2022 101
9.5.1 PROGRESSIVE COMPANIES 102
9.5.2 RESPONSIVE COMPANIES 102
9.5.3 DYNAMIC COMPANIES 102
9.5.4 STARTING BLOCKS 102
9.5.5 LIST OF KEY STARTUPS/SMES 103
TABLE 54 EUV LITHOGRAPHY MARKET: LIST OF MAJOR STARTUPS/SMES 103
9.5.6 COMPETITIVE BENCHMARKING OF KEY STARTUPS/SMES 103
TABLE 55 EUV LITHOGRAPHY MARKET: COMPETITIVE
BENCHMARKING OF KEY STARTUPS/SMES 103
9.6 COMPETITIVE SCENARIO 104
9.6.1 DEALS 104
TABLE 56 EUV LITHOGRAPHY MARKET: DEALS, MAY 2020–MARCH 2023 104
10 COMPANY PROFILES 105
(Business Overview, Products/Solutions/Services Offered, Recent Developments, and MnM View (Key strengths/Right to Win, Strategic Choices Made, and Weaknesses and Competitive Threats))*
10.1 INTRODUCTION 105
10.2 KEY PLAYERS 105
10.2.1 ASML 105
TABLE 57 ASML: COMPANY OVERVIEW 105
FIGURE 44 ASML: COMPANY SNAPSHOT 106
10.3 KEY EQUIPMENT MANUFACTURERS 108
10.3.1 CARL ZEISS AG 108
TABLE 58 CARL ZEISS AG: COMPANY OVERVIEW 108
FIGURE 45 CARL ZEISS AG: COMPANY SNAPSHOT 109
10.3.2 TOPPAN INC. 111
TABLE 59 TOPPAN INC: COMPANY OVERVIEW 111
FIGURE 46 TOPPAN INC.: COMPANY SNAPSHOT 112
10.3.3 NTT ADVANCED TECHNOLOGY CORPORATION 114
TABLE 60 NTT ADVANCED TECHNOLOGY CORPORATION: COMPANY OVERVIEW 114
FIGURE 47 NTT ADVANCED TECHNOLOGY CORPORATION: COMPANY SNAPSHOT 114
10.3.4 KLA CORPORATION 117
TABLE 61 KLA CORPORATION: COMPANY OVERVIEW 117
FIGURE 48 KLA CORPORATION: COMPANY SNAPSHOT 118
10.3.5 ADVANTEST CORPORATION 120
TABLE 62 ADVANTEST CORPORATION: COMPANY OVERVIEW 120
FIGURE 49 ADVANTEST CORPORATION: COMPANY SNAPSHOT 121
10.3.6 USHIO INC. 123
TABLE 63 USHIO INC.: COMPANY OVERVIEW 123
FIGURE 50 USHIO INC.: COMPANY SNAPSHOT 124
10.3.7 SUSS MICROTEC SE 125
TABLE 64 SUSS MICROTEC SE: COMPANY OVERVIEW 125
FIGURE 51 SUSS MICROTEC SE: COMPANY SNAPSHOT 126
10.3.8 AGC INC. 127
TABLE 65 AGC INC.: COMPANY OVERVIEW 127
FIGURE 52 AGC INC.: COMPANY SNAPSHOT 128
10.3.9 LASERTEC CORPORATION 130
TABLE 66 LASERTEC CORPORATION: COMPANY OVERVIEW 130
FIGURE 53 LASERTEC CORPORATION: COMPANY SNAPSHOT 130
10.4 OTHER KEY PLAYERS 132
10.4.1 ENERGETIQ TECHNOLOGY INC. 132
10.4.2 NUFLARE TECHNOLOGY INC. 133
10.4.3 PHOTRONICS, INC. 134
10.4.4 HOYA CORPORATION 135
10.4.5 TRUMPF 136
10.4.6 RIGAKU CORPORATION 136
10.4.7 EDMUND OPTICS LTD. 137
10.4.8 PARK SYSTEMS 138
10.4.9 ZYGO CORPORATION 138
10.4.10 IMAGINE OPTIC 139
10.4.11 APPLIED MATERIALS, INC. 140
*Details on Business Overview, Products/Solutions/Services Offered, Recent Developments, and MnM View (Key strengths/Right to Win, Strategic Choices Made, and Weaknesses and Competitive Threats) might not be captured in case of unlisted companies.
11 APPENDIX 141
11.1 INSIGHTS FROM INDUSTRY EXPERTS 141
11.2 DISCUSSION GUIDE 141
11.3 KNOWLEDGESTORE: MARKETSANDMARKETS’ SUBSCRIPTION PORTAL 144
11.4 CUSTOMIZATION OPTIONS 146
11.5 RELATED REPORTS 146
11.6 AUTHOR DETAILS 147