スピンオンカーボン市場 : 2028年までの世界予測

出版:MarketsandMarkets(マーケッツアンドマーケッツ) 出版年月:2023年12月

Spin On Carbon Market – Global Forecast to 2028

スピンオンカーボン市場 : タイプ(高温スピンオンカーボン、常温スピンオンカーボン)、アプリケーション(ロジックデバイス、メモリデバイス、パワーデバイス、フォトニクス、アドバンストパッケージング)、エンドユーザー 、地域別 – 2028年までの世界予測
Spin on Carbon Market by Type (Hot-Temperature Spin on Carbon, Normal-temperature Spin on Carbon), Application (Logic Devices, Memory Devices, Power Devices, Photonics, Advanced Packaging), End User and Region – Global Forecast to 2028

ページ数169
図表数191
種別英文調査報告書
価格

お問合せ・ご注文  価格・納期について

SOC(Spin-On Carbon/スピンオンカーボン)の市場規模は2023年に1億9,900万ドルになることが見込まれ、予測期間に年平均成長率(CAGR)30.2%で成長し、2028年までに7億4,700万ドルに達するとMarketsandMarketsでは予測しています。

MarketsandMarkets(マーケッツアンドマーケッツ)「スピンオンカーボン市場 : タイプ(高温スピンオンカーボン、常温スピンオンカーボン)、アプリケーション(ロジックデバイス、メモリデバイス、パワーデバイス、フォトニクス、アドバンストパッケージング)、エンドユーザー 、地域別 – 2028年までの世界予測 – Spin on Carbon Market by Type (Hot-Temperature Spin on Carbon, Normal-temperature Spin on Carbon), Application (Logic Devices, Memory Devices, Power Devices, Photonics, Advanced Packaging), End User and Region – Global Forecast to 2028」は世界のSOC(Spin-On Carbon)の市場を調査し、主要セグメント毎に分析・予測を行っています。

Report Overview

The spin on carbon market is projected to grow from USD 199 million in 2023 to USD 747 million by 2028, registering a CAGR of 30.2% during the forecast period. Some of the major factors driving the growth of the spin on carbon market include the rapid technological advancements in semiconductor manufacturing and increasing complexity of integrated circuits. However, technical challenges associated with the SOC materials act as a challenge for the market in the future. The major growth opportunity for the market players is advanced memory development for next generation memory devices. 

スピンオンカーボン市場 : 2028年までの世界予測


“Market for integrated device manufacturers (IDMs) & outsourced semiconductor assembly and test (OSAT) will have the highest CAGR during the forecast period.”
The integrated device manufacturers (IDMs) & outsourced semiconductor assembly and test (OSAT) segment of the spin on carbon market is expected to witness the highest CAGR during the forecast period. The increasing development of semiconductor devices by using lithography process is acting as driver for the spin on carbon material as it is crucial for various stages of the semiconductor manufacturing process. Further, the increasing strategic initiatives such as partnership, product development from semiconductor assembly and testing providers such as ASE Group, Amkor, Micron Technology, Inc., among others acting as a driver for the market.


“Advanced Packaging expected to register the highest CAGR during the forecast period.”
The spin on carbon market’s advanced packaging segment is expected to account for the highest CAGR in the forecast period. These advanced packaging techniques are designed to enhance the performance, functionality, and form factor of semiconductor devices, allowing for increased integration, improved electrical performance, and higher reliability. Some key advanced packaging applications include: System-in-Package (SiP), 3D Packaging, Wafer-Level Packaging (WLP), and others. Spin-on carbon materials provide effective dielectric insulation and passivation layers within advanced packaging solutions, enabling the reliable isolation and protection of semiconductor components. With the rapid growth in the advanced packaging technologies, specifically fan out wafer level packaging, along with increase in demand for smartphones and devices and Internet of Things (IoT) the demand for spin on carbon material is also increasing, which in turn drives the market growth.

スピンオンカーボン市場 : 2028年までの世界予測 ecosystem

“Asia Pacific to account for the highest market share among other regions during the forecast period.”
Aisa Pacific is expected to dominate the spin on carbon market during the forecast period. China, Taiwan, South Korea, and Japan are the major contributors to the spin on carbon market in this region. Moreover, governments and industry stakeholders in the Asia-Pacific region are making substantial investments in semiconductor infrastructure and manufacturing facilities to strengthen the region’s position in the global semiconductor market. These investments create opportunities for the adoption of advanced semiconductor materials such as spin on carbon, contributing to the growth and expansion of the market in the region.

スピンオンカーボン市場 : 2028年までの世界予測 region

In determining and verifying the market size for several segments and subsegments gathered through extensive secondary research, primary interviews have been conducted with key industry experts in the spin on carbon market.
The break-up of primary participants for the report has been shown below:
• By company type: Tier 1 – 38%, Tier 2 – 28%, and Tier 3 – 34%
• By designation: C-Level Executives – 40%, Managers – 30%, and Others – 30%
• By region: North America – 35%, Europe – 20%, Asia Pacific – 35%, and RoW – 10%


The report profiles key players in the spin on carbon market with their respective market ranking analyses. Prominent players profiled in this report include Samsung SDI Co., Ltd. (South Korea), Merck KGaA (Germany), Shin-Etsu Chemical Co., Ltd. (Japan), YCCHEM Co., Ltd. (South Korea), Brewer Science, Inc. (US), JSR Micro, Inc. (US), KOYJ Co., Ltd. (South Korea), Irresistible Materials Ltd (UK), Nano-C (US), and DNF Co., Ltd. (South Korea)among others.


Research Coverage
This research report categorizes the spin on carbon market based on type, application, end user, and region. The report describes the major drivers, restraints, challenges, and opportunities pertaining to the spin on carbon market and forecasts the same till 2028. The report also consists of leadership mapping and analysis of companies in the spin on carbon ecosystem.


Reasons to buy this report:
The report will help the market leaders/new entrants in this market with information on the closest approximations of the revenue numbers for the overall spin on carbon market and the subsegments. This report will help stakeholders understand the competitive landscape and gain more insights to position their businesses better and plan suitable go-to-market strategies. The report also helps stakeholders understand the market pulse and provides information on key market drivers, restraints, challenges, and opportunities.


 The report provides insights on the following pointers:
• Analysis of key drivers (rapid technological advancements in semiconductor manufacturing and increasing complexity of integrated circuits, increasing demand for advanced packaging solutions, and expansion of EUV (extreme ultraviolet) lithography in memory manufacturing), restraints (competitive substitution materials), opportunities (continued miniaturization of electronic devices and continued miniaturization of electronic devices), and challenges (technical challenges associated with the SOC materials) influencing the growth of the spin on carbon market

• Product Development/Innovation: Detailed insights on upcoming technologies, research & development activities, and new product & service launches in the spin on carbon market

• Market Development: Comprehensive information about lucrative markets – the report analyses the spin on carbon market across varied regions

• Market Diversification: Exhaustive information about new products & services, untapped geographies, recent developments, and investments in the spin on carbon market

• Competitive Assessment: In-depth assessment of market shares, growth strategies, and product/service offerings of leading players like Samsung SDI Co., Ltd. (South Korea), Merck KGaA (Germany), Shin-Etsu Chemical Co., Ltd. (Japan), and YCCHEM Co., Ltd. (South Korea), among others in the spin on carbon market.

Table of Contents

1            INTRODUCTION            25

1.1         STUDY OBJECTIVES      25

1.2         MARKET DEFINITION   25

1.2.1      INCLUSIONS AND EXCLUSIONS 26

1.3         STUDY SCOPE  26

1.3.1      MARKETS COVERED     26

FIGURE 1           SPIN ON CARBON MARKET SEGMENTATION    26

1.3.2      REGIONAL SCOPE         27

1.3.3      YEARS CONSIDERED     27

1.4         CURRENCY CONSIDERED          27

1.5         LIMITATIONS   28

1.6         STAKEHOLDERS            28

1.6.1      RECESSION IMPACT      28

2            RESEARCH METHODOLOGY     29

2.1         RESEARCH DATA           29

FIGURE 2           RESEARCH DESIGN       29

2.1.1      SECONDARY DATA       30

2.1.1.1   Major secondary sources    30

2.1.1.2   Key data from secondary sources     31

2.1.2      PRIMARY DATA 31

2.1.2.1   Key data from primary sources         32

2.1.2.2   Breakdown of primaries     32

2.1.2.3   List of primary interview participants             33

2.1.3      SECONDARY AND PRIMARY RESEARCH 33

2.1.3.1   Key industry insights          34

2.2         MARKET SIZE ESTIMATION       34

2.2.1      BOTTOM-UP APPROACH           34

FIGURE 3           MARKET SIZE ESTIMATION METHODOLOGY: BOTTOM-UP APPROACH       35

2.2.2      TOP-DOWN APPROACH             35

FIGURE 4           APPROACH USED TO CAPTURE MARKET SIZE FROM SUPPLY SIDE     36

FIGURE 5           MARKET SIZE ESTIMATION METHODOLOGY: TOP-DOWN APPROACH       36

2.3         MARKET BREAKDOWN AND DATA TRIANGULATION    37

FIGURE 6           DATA TRIANGULATION             37

2.4         RESEARCH ASSUMPTIONS         38

2.4.1      PARAMETERS CONSIDERED TO ANALYZE IMPACT OF RECESSION              38

2.5         RESEARCH LIMITATIONS           39

2.6         RISK ASSESSMENT         39

3            EXECUTIVE SUMMARY 40

FIGURE 7           HOT-TEMPERATURE SPIN ON CARBON SEGMENT TO HOLD LARGER MARKET SHARE IN 2023            40

FIGURE 8           ADVANCED PACKAGING SEGMENT TO EXHIBIT HIGHEST CAGR FROM 2023 TO 2028          41

FIGURE 9           FOUNDRIES TO HOLD LARGER MARKET SHARE IN 2028              41

FIGURE 10         ASIA PACIFIC ACCOUNTED FOR LARGEST MARKET SHARE IN 2022      42

4            PREMIUM INSIGHTS      43

4.1         ATTRACTIVE OPPORTUNITIES FOR MARKET PLAYERS 43

FIGURE 11         INCREASING DEMAND FOR MEMORY DEVICES TO FUEL SPIN ON CARBON MARKET GROWTH             43

4.2         SPIN ON CARBON MARKET, BY END USER          43

FIGURE 12         IDMS & OSAT VENDORS TO WITNESS HIGHER CAGR DURING FORECAST PERIOD       43

4.3         SPIN ON CARBON MARKET IN AISA PACIFIC, BY END USER AND COUNTRY         44

FIGURE 13         FOUNDRIES AND TAIWAN HELD LARGEST SHARES OF ASIA PACIFIC SPIN ON CARBON MARKET IN 2022       44

4.4         SPIN ON CARBON MARKET, BY COUNTRY          45

FIGURE 14         FRANCE TO EXHIBIT HIGHEST CAGR IN GLOBAL SPIN ON CARBON MARKET DURING FORECAST PERIOD 45

5            MARKET OVERVIEW     46

5.1         INTRODUCTION            46

5.2         MARKET DYNAMICS     46

FIGURE 15         SPIN ON CARBON MARKET: DRIVERS, RESTRAINTS, OPPORTUNITIES, AND CHALLENGES    46

5.2.1      DRIVERS            47

FIGURE 16         SPIN ON CARBON MARKET: IMPACT ANALYSIS OF DRIVERS              47

5.2.1.1   Advancements in semiconductor technology and increasing complexity of ICs              47

5.2.1.2   Increased use of advanced packaging technology in semiconductor industry              48

5.2.1.3   Implementation of extreme ultraviolet (EUV) lithography technology in memory device production 48

5.2.2      RESTRAINTS     49

FIGURE 17         SPIN ON CARBON MARKET: IMPACT ANALYSIS OF RESTRAINTS     49

5.2.2.1   Availability of substitute competitive materials            49

5.2.3      OPPORTUNITIES           50

FIGURE 18         SPIN ON CARBON MARKET: IMPACT ANALYSIS OF OPPORTUNITIES           50

5.2.3.1   Constant focus on miniaturization of electronic devices             50

5.2.3.2   Integration of next-generation memory devices into AI, ML, and IoT technology-based solutions    50

5.2.4      CHALLENGES   51

FIGURE 19         SPIN ON CARBON MARKET: IMPACT ANALYSIS OF CHALLENGES   51

5.2.4.1   Technical challenges associated with SOC materials    51

5.3         VALUE CHAIN ANALYSIS            52

FIGURE 20         SPIN ON CARBON MARKET: VALUE CHAIN ANALYSIS    52

5.4         ECOSYSTEM ANALYSIS 53

TABLE 1             KEY PARTICIPANTS AND THEIR ROLES IN ECOSYSTEM 53

5.5         TRENDS/DISRUPTIONS IMPACTING CUSTOMER BUSINESS       54

FIGURE 21         TRENDS IMPACTING GROWTH OF PLAYERS IN SPIN ON CARBON MARKET         54

5.6         TECHNOLOGY ANALYSIS           54

5.6.1      SPIN ON CARBON INTEGRATION INTO LITHOGRAPHY PROCESS              54

5.6.2      MINIATURIZATION OF ELECTRONIC DEVICES AND TREND OF IOT AND EDGE COMPUTING            54

5.7         CASE STUDY ANALYSIS 55

5.7.1      BREWER SCIENCE INC. DEVELOPS HIGH-TEMPERATURE STABLE SPIN ON CARBON MATERIALS FOR ADVANCED PATTERN TRANSFER APPLICATIONS 55

5.7.2      IRRESISTIBLE MATERIALS LTD. ACHIEVES HIGH ETCH RESISTANCE AND HIGH THERMAL STABILITY WITH ADDITION OF THIN LAYER OF SOC              55

5.7.3      IRRESISTIBLE MATERIALS LTD. PROVIDES INTEL CORPORATION WITH HIGH-RESOLUTION SOC HARDMASKS TO IMPROVE NANOFABRICATION CAPABILITIES       56

5.8         PATENT ANALYSIS        57

FIGURE 22         TOP 10 COMPANIES WITH HIGHEST NUMBER OF PATENT APPLICATIONS IN LAST 10 YEARS          57

TABLE 2             TOP 20 PATENT OWNERS IN LAST 10 YEARS      58

5.8.1      LIST OF MAJOR PATENTS          59

TABLE 3             SPIN ON CARBON MARKET: LIST OF MAJOR PATENTS  59

5.9         EXPORT/IMPORT SCENARIOS, BY HS CODE 381800        62

FIGURE 23         IMPORT DATA FOR HS CODE 381800-COMPLIANT PRODUCTS, BY COUNTRY, 2018–2022 (USD THOUSAND)       62

FIGURE 24         EXPORT DATA FOR HS CODE 381800-COMPLIANT PRODUCTS, BY COUNTRY, 2018–2022 (USD THOUSAND)       63

5.10       KEY STAKEHOLDERS AND BUYING CRITERIA    64

5.10.1    KEY STAKEHOLDERS IN BUYING PROCESS         64

FIGURE 25         INFLUENCE OF STAKEHOLDERS ON BUYING PROCESS FOR END USERS       64

TABLE 4             INFLUENCE OF STAKEHOLDERS ON BUYING PROCESS FOR END USERS (%) 64

5.10.2    BUYING CRITERIA         65

FIGURE 26         KEY BUYING CRITERIA FOR END USERS              65

TABLE 5             KEY BUYING CRITERIA FOR END USERS              65

5.11       PORTER’S FIVE FORCES ANALYSIS         66

FIGURE 27         SPIN ON CARBON MARKET: PORTER’S FIVE FORCES ANALYSIS              66

TABLE 6             SPIN ON CARBON MARKET: PORTER’S FIVE FORCES ANALYSIS              66

5.11.1    INTENSITY OF COMPETITIVE RIVALRY 67

5.11.2    THREAT OF SUBSTITUTES         67

5.11.3    BARGAINING POWER OF BUYERS           67

5.11.4    BARGAINING POWER OF SUPPLIERS     67

5.11.5    THREAT OF NEW ENTRANTS    67

5.12       KEY CONFERENCES AND EVENTS, 2023–2025     68

TABLE 7             SPIN ON CARBON MARKET: LIST OF KEY CONFERENCES AND EVENTS             68

5.13       REGULATORY LANDSCAPE AND STANDARDS   70

5.13.1    REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS RELATED TO SPIN ON CARBON TECHNOLOGY          70

TABLE 8             NORTH AMERICA: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS         70

TABLE 9             EUROPE: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS         70

TABLE 10           ASIA PACIFIC: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS         71

TABLE 11           ROW: LIST OF REGULATORY BODIES, GOVERNMENT AGENCIES, AND OTHER ORGANIZATIONS         71

5.13.2    STANDARDS RELATED TO SPIN ON CARBON TECHNOLOGY     72

TABLE 12           NORTH AMERICA: SAFETY STANDARDS FOR SPIN ON CARBON TECHNOLOGY 72

TABLE 13           EUROPE: SAFETY STANDARDS FOR SPIN ON CARBON MARKET              72

TABLE 14           ASIA PACIFIC: SAFETY STANDARDS FOR SPIN ON CARBON MARKET            72

TABLE 15           ROW: SAFETY STANDARDS FOR SPIN ON CARBON MARKET              73

6            SPIN ON CARBON MARKET, BY MATERIAL TYPE             74

6.1         INTRODUCTION            75

FIGURE 28         HOT-TEMPERATURE SPIN ON CARBON SEGMENT TO RECORD HIGHER CAGR DURING FORECAST PERIOD       75

TABLE 16           SPIN ON CARBON MARKET, BY MATERIAL TYPE, 2019–2022 (USD MILLION) 75

TABLE 17           SPIN ON CARBON MARKET, BY MATERIAL TYPE, 2023–2028 (USD MILLION) 75

6.2         HOT-TEMPERATURE SPIN ON CARBON (HT-SOC)         76

6.2.1      NEED FOR MATERIALS THAT CAN WITHSTAND ELEVATED THERMAL PROCESSING CONDITIONS TO DRIVE MARKET 76

6.3         NORMAL-TEMPERATURE SPIN ON CARBON (NT-SOC)  76

6.3.1      ABILITY TO PROVIDE EFFECTIVE PLANARIZATION PROPERTIES AT LOWER PROCESSING TEMPERATURES TO BOOST DEMAND      76

7            SPIN ON CARBON MARKET, BY APPLICATION   77

7.1         INTRODUCTION            78

FIGURE 29         SPIN ON CARBON MARKET, BY APPLICATION   78

FIGURE 30         MEMORY DEVICES TO CAPTURE LARGEST SHARE OF SPIN ON CARBON MARKET IN 2023          78

TABLE 18           SPIN ON CARBON MARKET, BY APPLICATION, 2019–2022 (USD MILLION)          79

TABLE 19           SPIN ON CARBON MARKET, BY APPLICATION, 2023–2028 (USD MILLION)          79

7.2         LOGIC DEVICES             80

7.2.1      INCREASING TREND OF MINIATURIZATION AND SCALING IN SEMICONDUCTOR TECHNOLOGY TO DRIVE MARKET  80

TABLE 20           LOGIC DEVICES: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          80

TABLE 21           LOGIC DEVICES: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          81

TABLE 22           LOGIC DEVICES: SPIN ON CARBON MARKET, BY REGION, 2019–2022 (USD MILLION)     81

TABLE 23           LOGIC DEVICES: SPIN ON CARBON MARKET, BY REGION, 2023–2028 (USD MILLION)     81

7.3         MEMORY DEVICES        81

7.3.1      GROWING FOCUS ON DEVELOPING HIGH-PERFORMANCE AND RELIABLE MEMORY AND STORAGE DEVICES TO BOOST DEMAND         81

TABLE 24           MEMORY DEVICES: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          82

TABLE 25           MEMORY DEVICES: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          82

TABLE 26           MEMORY DEVICES: SPIN ON CARBON MARKET, BY REGION, 2019–2022 (USD MILLION)          82

TABLE 27           MEMORY DEVICES: SPIN ON CARBON MARKET, BY REGION, 2023–2028 (USD MILLION)          83

7.4         POWER DEVICES           83

7.4.1      RISING DEMAND FOR POWER ELECTRONICS WITH IMPROVED THERMAL CONDUCTIVITY TO FOSTER MARKET GROWTH       83

TABLE 28           POWER DEVICES: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          83

TABLE 29           POWER DEVICES: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          84

TABLE 30           POWER DEVICES: SPIN ON CARBON MARKET, BY REGION, 2019–2022 (USD MILLION)          84

TABLE 31           POWER DEVICES: SPIN ON CARBON MARKET, BY REGION, 2023–2028 (USD MILLION)          84

7.5         MEMS (MICRO-ELECTRO-MECHANICAL SYSTEMS)        84

7.5.1      INCREASING DEMAND FOR MEMS FROM AUTOMOTIVE SYSTEM PROVIDERS TO CONTRIBUTE TO MARKET GROWTH    84

TABLE 32           MEMS: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION) 85

TABLE 33           MEMS: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION) 85

TABLE 34           MEMS: SPIN ON CARBON MARKET, BY REGION, 2019–2022 (USD MILLION)          86

TABLE 35           MEMS: SPIN ON CARBON MARKET, BY REGION, 2023–2028 (USD MILLION)          86

7.6         PHOTONICS     86

7.6.1      RISING USE OF SOC TECHNOLOGY IN OPTICAL COMMUNICATION AND SENSING DEVICES TO STIMULATE MARKET GROWTH       86

TABLE 36           PHOTONICS: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)     87

TABLE 37           PHOTONICS: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)     87

TABLE 38           PHOTONICS: SPIN ON CARBON MARKET, BY REGION, 2019–2022 (USD MILLION)     87

TABLE 39           PHOTONICS: SPIN ON CARBON MARKET, BY REGION, 2023–2028 (USD MILLION)     87

7.7         ADVANCED PACKAGING           88

7.7.1      GROWING DEMAND FOR SLIM SMARTPHONES WITH IMPROVED FEATURES TO ACCELERATE SOC DEMAND       88

TABLE 40           ADVANCED PACKAGING: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)             88

TABLE 41           ADVANCED PACKAGING: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)             88

TABLE 42           ADVANCED PACKAGING: SPIN ON CARBON MARKET, BY REGION, 2019–2022 (USD MILLION)       89

TABLE 43           ADVANCED PACKAGING: SPIN ON CARBON MARKET, BY REGION, 2023–2028 (USD MILLION)       89

8            SPIN ON CARBON MARKET, BY END USER          90

8.1         INTRODUCTION            91

FIGURE 31         SPIN ON CARBON MARKET, BY END USER          91

FIGURE 32         FOUNDRIES TO ACCOUNT FOR MAJORITY OF MARKET SHARE IN 2023 91

TABLE 44           SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          92

TABLE 45           SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          92

8.2         FOUNDRIES      92

8.2.1      GROWING ADOPTION OF INTERNET OF THINGS (IOT) TO BOOST DEMAND           92

TABLE 46           FOUNDRIES: SPIN ON CARBON MARKET, BY APPLICATION, 2019–2022 (USD MILLION)          93

TABLE 47           FOUNDRIES: SPIN ON CARBON MARKET, BY APPLICATION, 2023–2028 (USD MILLION)          93

TABLE 48           FOUNDRIES: SPIN ON CARBON MARKET, BY REGION, 2019–2022 (USD MILLION)     93

TABLE 49           FOUNDRIES: SPIN ON CARBON MARKET, BY REGION, 2023–2028 (USD MILLION)     94

8.3         IDMS & OSAT VENDORS             94

8.3.1      INCREASING USE OF NEXT-GENERATION LITHOGRAPHY IN SEMICONDUCTOR MANUFACTURING TO DRIVE MARKET         94

TABLE 50           IDMS & OSAT VENDORS: SPIN ON CARBON MARKET, BY APPLICATION, 2019–2022 (USD MILLION)           95

TABLE 51           IDMS & OSAT VENDORS: SPIN ON CARBON MARKET, BY APPLICATION, 2023–2028 (USD MILLION)           95

TABLE 52           IDMS & OSAT VENDORS: SPIN ON CARBON MARKET, BY REGION, 2019–2022 (USD MILLION)       95

TABLE 53           IDMS & OSAT VENDORS: SPIN ON CARBON MARKET, BY REGION, 2023–2028 (USD MILLION)       96

9            SPIN ON CARBON MARKET, BY REGION              97

9.1         INTRODUCTION            98

FIGURE 33         SPIN ON CARBON MARKET, BY REGION              98

FIGURE 34         ASIA PACIFIC TO HOLD LARGEST MARKET SHARE THROUGHOUT FORECAST PERIOD       99

TABLE 54           SPIN ON CARBON MARKET, BY REGION, 2019–2022 (USD MILLION)          99

TABLE 55           SPIN ON CARBON MARKET, BY REGION, 2023–2028 (USD MILLION)          99

9.2         NORTH AMERICA          100

FIGURE 35         NORTH AMERICA: SPIN ON CARBON MARKET SNAPSHOT              101

TABLE 56           NORTH AMERICA: SPIN ON CARBON MARKET, BY COUNTRY, 2019–2022 (USD MILLION)          102

TABLE 57           NORTH AMERICA: SPIN ON CARBON MARKET, BY COUNTRY, 2023–2028 (USD MILLION)          102

TABLE 58           NORTH AMERICA: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          102

TABLE 59           NORTH AMERICA: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          102

TABLE 60           NORTH AMERICA: SPIN ON CARBON MARKET, BY APPLICATION, 2019–2022 (USD MILLION)           103

TABLE 61           NORTH AMERICA: SPIN ON CARBON MARKET, BY APPLICATION, 2023–2028 (USD MILLION)           103

9.2.1      US         103

9.2.1.1   Significant presence of semiconductor giants to drive market     103

TABLE 62           US: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          104

TABLE 63           US: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          104

9.2.2      CANADA            104

9.2.2.1   Increasing demand for high-performance semiconductor devices to fuel market growth   104

TABLE 64           CANADA: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION) 105

TABLE 65           CANADA: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION) 105

9.2.3      MEXICO             105

9.2.3.1   Thriving semiconductor industry to accelerate spin on carbon technology demand              105

TABLE 66           MEXICO: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION) 106

TABLE 67           MEXICO: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION) 106

9.2.4      IMPACT OF RECESSION ON SPIN ON CARBON MARKET IN NORTH AMERICA           106

9.3         EUROPE             107

FIGURE 36         EUROPE: SPIN ON CARBON MARKET SNAPSHOT            107

TABLE 68           EUROPE: SPIN ON CARBON MARKET, BY COUNTRY, 2019–2022 (USD MILLION) 108

TABLE 69           EUROPE: SPIN ON CARBON MARKET, BY COUNTRY, 2023–2028 (USD MILLION) 108

TABLE 70           EUROPE: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION) 108

TABLE 71           EUROPE: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION) 108

TABLE 72           EUROPE: SPIN ON CARBON MARKET, BY APPLICATION, 2019–2022 (USD MILLION)     109

TABLE 73           EUROPE: SPIN ON CARBON MARKET, BY APPLICATION, 2023–2028 (USD MILLION)     109

9.3.1      UK         109

9.3.1.1   Government investments in developing advanced semiconductor products to drive market   109

TABLE 74           UK: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          110

TABLE 75           UK: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          110

9.3.2      GERMANY         110

9.3.2.1   Implementation of IoT in manufacturing and automotive industries to fuel market growth   110

TABLE 76           GERMANY: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)     110

TABLE 77           GERMANY: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)     111

9.3.3      FRANCE             111

9.3.3.1   Highly developed transportation and communication networks to support market growth   111

TABLE 78           FRANCE: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION) 111

TABLE 79           FRANCE: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION) 111

9.3.4      REST OF EUROPE           112

TABLE 80           REST OF EUROPE: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          112

TABLE 81           REST OF EUROPE: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          112

9.3.5      IMPACT OF RECESSION ON SPIN ON CARBON MARKET IN EUROPE              112

9.4         ASIA PACIFIC    113

FIGURE 37         ASIA PACIFIC: SPIN ON CARBON MARKET SNAPSHOT   114

TABLE 82           ASIA PACIFIC: SPIN ON CARBON MARKET, BY COUNTRY, 2019–2022 (USD MILLION)     115

TABLE 83           ASIA PACIFIC: SPIN ON CARBON MARKET, BY COUNTRY, 2023–2028 (USD MILLION)     115

TABLE 84           ASIA PACIFIC: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)     115

TABLE 85           ASIA PACIFIC: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)     115

TABLE 86           ASIA PACIFIC: SPIN ON CARBON MARKET, BY APPLICATION, 2019–2022 (USD MILLION)          116

TABLE 87           ASIA PACIFIC: SPIN ON CARBON MARKET, BY APPLICATION, 2023–2028 (USD MILLION)          116

9.4.1      CHINA  116

9.4.1.1   Made in China initiative to contribute to market growth            116

TABLE 88           CHINA: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION) 117

TABLE 89           CHINA: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION) 117

9.4.2      JAPAN  117

9.4.2.1   Government focus on increasing semiconductor manufacturing capacity to provide growth opportunities           117

TABLE 90           JAPAN: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION) 117

TABLE 91           JAPAN: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION) 118

9.4.3      SOUTH KOREA 118

9.4.3.1   Prominent presence of IDM firms to drive market       118

TABLE 92           SOUTH KOREA: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          118

TABLE 93           SOUTH KOREA: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          118

9.4.4      TAIWAN             119

9.4.4.1   Growing investments by semiconductor companies in advanced manufacturing technologies to drive market            119

TABLE 94           TAIWAN: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION) 119

TABLE 95           TAIWAN: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION) 119

9.4.5      REST OF ASIA PACIFIC  119

TABLE 96           REST OF ASIA PACIFIC: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)             120

TABLE 97           REST OF ASIA PACIFIC: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)             120

9.4.6      IMPACT OF RECESSION ON SPIN ON CARBON MARKET IN ASIA PACIFIC             120

9.5         REST OF THE WORLD (ROW)    120

TABLE 98           ROW: SPIN ON CARBON MARKET, BY GEOGRAPHY, 2019–2022 (USD MILLION) 121

TABLE 99           ROW: SPIN ON CARBON MARKET, BY GEOGRAPHY, 2023–2028 (USD MILLION) 121

TABLE 100         ROW: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION) 121

TABLE 101         ROW: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION) 121

TABLE 102         ROW: SPIN ON CARBON MARKET, BY APPLICATION, 2019–2022 (USD MILLION) 122

TABLE 103         ROW: SPIN ON CARBON MARKET, BY APPLICATION, 2023–2028 (USD MILLION) 122

9.5.1      SOUTH AMERICA           122

9.5.1.1   Growing number of fabrication facilities, research centers, and technological hubs to contribute to market growth        122

TABLE 104         SOUTH AMERICA: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          123

TABLE 105         SOUTH AMERICA: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          123

9.5.2      GCC COUNTRIES           123

9.5.2.1   Strategic partnerships and collaborations between semiconductor companies to drive market        123

TABLE 106         GCC COUNTRIES: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)          123

TABLE 107         GCC COUNTRIES: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)          124

9.5.3      REST OF MIDDLE EAST & AFRICA           124

TABLE 108         REST OF MIDDLE EAST & AFRICA: SPIN ON CARBON MARKET, BY END USER, 2019–2022 (USD MILLION)            124

TABLE 109         REST OF MIDDLE EAST & AFRICA: SPIN ON CARBON MARKET, BY END USER, 2023–2028 (USD MILLION)            124

10          COMPETITIVE LANDSCAPE       125

10.1       OVERVIEW        125

10.2       KEY PLAYER STRATEGIES/RIGHT TO WIN          125

TABLE 110         OVERVIEW OF STRATEGIES EMPLOYED BY KEY PLAYERS IN SPIN ON CARBON MARKET       125

10.2.1    PRODUCT PORTFOLIO 126

10.2.2    REGIONAL FOCUS         127

10.2.3    ORGANIC/INORGANIC GROWTH STRATEGIES  127

10.3       MARKET SHARE ANALYSIS, 2022             127

FIGURE 38         SPIN ON CARBON MARKET SHARE ANALYSIS, 2022         127

TABLE 111         SPIN ON CARBON MARKET: DEGREE OF COMPETITION              128

10.4       REVENUE ANALYSIS OF TOP PLAYERS IN SPIN ON CARBON MARKET              130

FIGURE 39         REVENUE ANALYSIS OF LEADING PLAYERS IN SPIN ON CARBON MARKET         130

10.5       EVALUATION MATRIX FOR KEY COMPANIES, 2022         131

10.5.1    STARS  131

10.5.2    PERVASIVE PLAYERS     131

10.5.3    EMERGING LEADERS    131

10.5.4    PARTICIPANTS 131

FIGURE 40         SPIN ON CARBON MARKET (GLOBAL): COMPANY EVALUATION MATRIX, 2022      132

10.6       KEY COMPANY FOOTPRINT      133

TABLE 112         OVERALL FOOTPRINT (10 COMPANIES)             133

TABLE 113         END USER FOOTPRINT (10 COMPANIES)            133

TABLE 114         APPLICATION FOOTPRINT (10 COMPANIES)     134

TABLE 115         REGION FOOTPRINT (10 COMPANIES) 134

10.7       EVALUATION MATRIX FOR START-UPS/SMES, 2022       135

10.7.1    PROGRESSIVE COMPANIES       135

10.7.2    RESPONSIVE COMPANIES          135

10.7.3    DYNAMIC COMPANIES 135

10.7.4    STARTING BLOCKS       135

FIGURE 41         SPIN ON CARBON MARKET (GLOBAL): START-UPS/SMES MATRIX, 2022    136

TABLE 116         SPIN ON CARBON MARKET: LIST OF KEY START-UPS/SMES              137

TABLE 117         SPIN ON CARBON MARKET: COMPETITIVE BENCHMARKING OF KEY START-UPS/SMES          137

10.8       COMPETITIVE SITUATIONS AND TRENDS         138

10.8.1    PRODUCT LAUNCHES AND DEVELOPMENTS    138

TABLE 118         SPIN ON CARBON MARKET: PRODUCT LAUNCHES AND DEVELOPMENTS, JANUARY 2019– AUGUST 2023 138

10.8.2    DEALS  138

TABLE 119         SPIN ON CARBON MARKET: DEALS, JANUARY 2019– SEPTEMBER 2023           138

10.8.3    OTHERS             139

TABLE 120         SPIN ON CARBON MARKET: OTHERS, JANUARY 2019– FEBRUARY 2023 139

11          COMPANY PROFILES    140

11.1       KEY PLAYERS   140

(Business Overview, Products/Services/Solutions Offered, MnM View, Key Strengths and Right to Win, Strategic Choices Made, Weaknesses and Competitive Threats, Recent Developments)*

11.1.1    SAMSUNG SDI CO., LTD.             140

TABLE 121         SAMSUNG SDI CO., LTD.: COMPANY OVERVIEW             140

FIGURE 42         SAMSUNG SDI CO., LTD.: COMPANY SNAPSHOT             141

TABLE 122         SAMSUNG SDI CO., LTD.: PRODUCTS/SERVICES/SOLUTIONS OFFERED          141

TABLE 123         SAMSUNG SDI CO., LTD.: DEALS             142

11.1.2    SHIN-ETSU CHEMICAL CO., LTD.           143

TABLE 124         SHIN-ETSU CHEMICAL CO., LTD.: COMPANY OVERVIEW              143

FIGURE 43         SHIN-ETSU CHEMICAL CO., LTD.: COMPANY SNAPSHOT              144

TABLE 125         SHIN-ETSU CHEMICAL CO., LTD.: PRODUCTS/SERVICES/SOLUTIONS OFFERED   144

TABLE 126         SHIN-ETSU CHEMICAL CO., LTD.: OTHERS        145

11.1.3    DONGJIN SEMICHEM CO LTD.  146

TABLE 127         DONGJIN SEMICHEM CO LTD.: COMPANY OVERVIEW   146

TABLE 128         DONGJIN SEMICHEM CO LTD.: PRODUCTS/SERVICES/SOLUTIONS OFFERED   146

11.1.4    MERCK KGAA   148

TABLE 129         MERCK KGAA: COMPANY OVERVIEW    148

FIGURE 44         MERCK KGAA: COMPANY SNAPSHOT    149

TABLE 130         MERCK KGAA: PRODUCTS/SERVICES/SOLUTIONS OFFERED              149

TABLE 131         MERCK KGAA: DEALS   150

TABLE 132         MERCK KGAA: OTHERS 150

11.1.5    YCCHEM CO., LTD.        152

TABLE 133         YCCHEM CO., LTD.: COMPANY OVERVIEW        152

TABLE 134         YCCHEM CO., LTD.: PRODUCTS/SERVICES/SOLUTIONS OFFERED          152

11.1.6    BREWER SCIENCE, INC. 154

TABLE 135         BREWER SCIENCE, INC.: COMPANY OVERVIEW 154

TABLE 136         BREWER SCIENCE, INC.: PRODUCTS/SERVICES/SOLUTIONS OFFERED          154

TABLE 137         BREWER SCIENCE, INC.: PRODUCT LAUNCHES 155

11.1.7    JSR MICRO, INC.             156

TABLE 138         JSR MICRO, INC.: COMPANY OVERVIEW 156

TABLE 139         JSR MICRO, INC.: PRODUCTS/SERVICES/SOLUTIONS OFFERED              156

TABLE 140         JSR MICRO, INC.: OTHERS          157

11.1.8    IRRESISTIBLE MATERIALS LTD 158

TABLE 141         IRRESISTIBLE MATERIALS LTD: COMPANY OVERVIEW  158

TABLE 142         IRRESISTIBLE MATERIALS LTD: PRODUCTS/SERVICES/SOLUTIONS OFFERED   158

11.1.9    KOYJ CO., LTD. 159

TABLE 143         KOYJ CO., LTD.: COMPANY OVERVIEW 159

TABLE 144         KOYJ CO., LTD.: PRODUCTS/SERVICES/SOLUTIONS OFFERED              159

11.1.10  NANO-C             160

TABLE 145         NANO-C: COMPANY OVERVIEW             160

TABLE 146         NANO-C: PRODUCTS/SERVICES/SOLUTIONS OFFERED 160

TABLE 147         NANO-C: DEALS             161

*Business Overview, Products/Services/Solutions Offered, MnM View, Key Strengths and Right to Win, Strategic Choices Made, Weaknesses and Competitive Threats, Recent Developments might not be captured in case of unlisted companies.

11.2       OTHER PLAYERS           162

11.2.1    DUPONT           162

11.2.2    DNF CO., LTD.  163

11.2.3    PIBOND OY       164

11.2.4    APPLIED MATERIALS, INC.         165

11.2.5    KAYAKU ADVANCED MATERIALS, INC. 166

12          APPENDIX         167

12.1       DISCUSSION GUIDE      167

12.2       KNOWLEDGESTORE: MARKETSANDMARKETS’ SUBSCRIPTION PORTAL             171

12.3       CUSTOMIZATION OPTIONS      173

12.4       RELATED REPORTS       173

12.5       AUTHOR DETAILS         174