ALD/CVD、Hi-K、金属プリカーサー市場調査レポート 2023年

出版:TECHCET(テクセット) 出版年月:2023年6月

ALD/CVD, HI K AND METAL PRECURSOR
ALD/CVD、Hi-K、金属プリカーサー市場調査レポート 2023年

ページ数140
図表数104
価格(ベーシックライセンス)USD8,900
種別英文調査報告書

※Chapter 7(Supplier Profiles)のページ数は含まれていません。

レポート目次  お問合せ・ご注文  価格・納期について

無料サンプル

TECHCET「ALD/CVD、Hi-K、金属プリカーサー市場調査レポート 2023年 – ALD/CVD, HI K AND METAL PRECURSOR」はCVD/ALD金属前駆体(メタルプリカーサー)の関連市場情報、技術動向、サプライヤ情報を提供しています。

主な掲載内容

  • 有機前駆体と無機前駆体の技術動向情報:CVD(High-K金属酸化物、バリア層、金属相互接続、キャッピング層向けALD
  • サプライチェーンマネージャー、プロセス統合、研究開発管理者に関する情報。事業開発と財政アナリスト情報も含む
  • 主要サプライヤ情報、材料のサプライチェーンにおける課題と動向、サプライヤの市場シェア、材料市場関連予測

目次(抜粋)

  • エグゼクティブサマリー
  • 調査範囲、目的、調査手法
  • 半導体産業の市場状況と展望
    • 世界経済
    • 電子製品セグメント別チップ売上
    • 半導体製造の成長と拡大
    • 政策&貿易動向と影響
    • 半導体材料展望
  • 前駆体(プリカーサ)市場動向
    • 市場動向
    • 供給能力と需要、投資
    • 技術的促進要因/材料の変遷と移行
    • EUV向けドライレジスト
    • 地域別動向と促進要因 – メタルプリカーサ
    • EHSと物流問題
    • 標準実装/バルブ種類における変化
    • 市場評価
  • 市場状況と予測
    • 前駆体市場 – 実績および5年予測
    • M&A活動
    • 需要と供給予測 – WF6(2023年まで)
    • 需要と供給予測 – WF6(2025年まで)
    • 新規工場
    • サプライヤの工場閉鎖
    • 新規参入者
    • 価格動向
    • 競争環境 – 前駆体サプライヤの市場シェア
    • WFE蒸着評価
  • サブティア材料サプライチェーン
  • サプライヤ情報

Description

This report provides market and technical trend information on inorganic gases and liquid CVD/ALD precursors (metal, metal oxide, high K, dielectric and SOD materials). For the last 20 years, there have been many research papers and patents published regarding ALD and CVD precursors specifically for the semiconductor industry. This report includes detail on the development path and roadmaps for new precursors and any current EHS and regulatory hurdles for these materials to enter into high volume manufacturing (HVM). Forecasts are provided on precursors of all types, with a focus is on the leading-edge front end of the line insulating and conductive materials, including high K, metal electrode, interconnect metallization, sacrificial layers, low-κ dielectrics, hard masks, mandrel, and etch stop layers. These process areas are of interest because of the high growth potential associated with leading-edge logic <45 nm, 28 nm to 10/7 nm nodes, and the future 5 & 3 nm nodes, as well as advanced DRAM and 3DNAND volatile and non-volatile memories.

  • Provides market and technical trend information on organic and inorganic precursors, addressing CVD, ALD applications including high κ metal-oxides, barrier layers, metal interconnects, and capping layers, among others.
  • Provides focused information for supply-chain managers, process integration and R&D directors, as well as business development and financial analysts
  • Covers information about key suppliers, issues/trends in the electronics material supply chain, estimates on supplier market share, and forecast for the electronics material segments
  • Single User License – provides 1 portal access login to techcet.com for one person, using 2FA (two-factor authentication). The 1 user has freedom to use any of the data in the purchased report for internal or external presentations, with proper copyright attribution.*

Analyst

Jonas Sundqvist, Ph.D.

Sr. Technology Analyst of TECHCET— covers Electronic Gases and ALD & CVD precursors and related technologies, and the co-chair of the Annual Critical Materials Council (CMC) Conference. His over 20 years of work experience includes Group Leader of the Thin-Film Technologies Group at The Fraunhofer Institute for Ceramic Technologies and Systems (IKTS) in Germany, Clean Room Operations Manager for Lund Nano Lab, Lund University in Sweden and Group Leader of the ALD & High-k devices group at Fraunhofer’s Center Nanoelectronic Technologies (CNT) in Germany, which included 28nm node work for GLOBALFOUNDRIES Fab1.

Previously, at Infineon Memory Development Centre (MDC), he developed high-k and metal nitride ALD processes, and at Qimonda, he was a materials manager focused on the ALD/CVD precursors supply-chain. He holds a Ph.D. and an M.S. in inorganic chemistry from Uppsala University, Sweden & Institute for Micromanufacturing, Louisiana Teche, USA, a B.S. in electrical and electronics engineering from Lars Kagg, and nine patents and 40 related scientific publications.

Jonas Sundqvist is on the Scientific Committee for AVS ALD and has co-chaired ALD2016 Dublin Ireland, and the annual EFDS ALD for Industry Workshop in Germany.


目次

1 Executive Summary 11

1.1 REGIONAL TRENDS – METAL PRECURSORS 12
1.2 REGIONAL TRENDS – TOTAL PRECURSOR MARKET 13
1.3 PRECURSOR MARKET – HISTORICAL AND 5-YEAR FORECAST 14
1.4 CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2021 TO 2027 15
1.5 ASSESSMENT- METAL & HIGH-K 16
1.6 SUPPLY-DEMAND FORECAST – WF6 (UNTIL 2023) 17
1.7 CVD AND ALD EQUIPMENT MARKET 18
1.8 ANALYST ASSESSMENT – HI K & METAL PRECURSORS 19
1.8.1 ANALYST ASSESSMENT – HI K & METAL PRECURSORS, CONTINUED 20
1.8.2 ANALYST ASSESSMENT 21

2 Scope, Purpose, and Methodology 22

2.1 SCOPE 23
2.2 PURPOSE 24
2.3 METHODOLOGY 25
2.4 OVERVIEW OF OTHER TECHCET CMR™ REPORTS 26

3 Semiconductor Industry Market Status & Outlook 27

3.1 WORLDWIDE ECONOMY 28
3.1.1 SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY 30
3.1.2 SEMICONDUCTOR SALES GROWTH 31
3.1.3 TAIWAN MONTHLY SALES TRENDS 32
3.1.4 UNCERTAINTY ABOUNDS ESPECIALLY FOR 2023 – SLOWER TO NEGATIVE
SEMICONDUCTOR REVENUE GROWTH EXPECTED 33
3.2 CHIPS SALES BY ELECTRONIC GOODS SEGMENT 34
3.2.1 SMARTPHONES 35
3.2.2 PC UNIT SHIPMENTS 36
3.2.3 SERVERS / IT MARKET 39
3.3 SEMICONDUCTOR FABRICATION GROWTH & EXPANSION 40
3.3.1 FAB EXPANSION ANNOUNCEMENT SUMMARY 41
3.3.2 WW FAB EXPANSION DRIVING GROWTH 43
3.3.3 EQUIPMENT SPENDING TRENDS 44
3.3.4 TECHNOLOGY ROADMAPS 45
3.3.5 FAB INVESTMENT ASSESSMENT 46
3.4 POLICY & TRADE TRENDS AND IMPACT 47
3.5 SEMICONDUCTOR MATERIALS OVERVIEW 48
3.5.1 COULD MATERIALS CAPACITY LIMIT CHIP PRODUCTION SCHEDULES? 49
3.5.2 LOGISTICS ISSUES EASED DOWN 50
3.5.3 TECHCET WAFER STARTS FORECAST THROUGH 2027 51
3.5.4 TECHCET’S MATERIAL FORECAST 52

4 Precursor Market Trends 53

4.1 MARKET TRENDS 54
4.1.1 MARKET TRENDS – WAFER STARTS 56
4.1.2 MARKET TRENDS – WAFER STARTS LOGIC 57
4.1.3 MARKET TRENDS – WAFER STARTS DRAM 58
4.1.4 MARKET TRENDS – WAFER STARTS NAND 59
4.2 SUPPLY CAPACITY AND DEMAND, INVESTMENTS 60
4.2.1 WF6 DEMAND DRIVERS 62
4.2.2 WF6 MARKET DEMAND 63
4.2.3 WF6 MARKET DEMAND, CONTINUED 64
4.2.4 WF6 MARKET DEMAND – MO ALD IP FILING 65
4.2.5 WF6 MARKET DEMAND 66
4.3 SUPPLY CAPACITY AND DEMAND, INVESTMENTS 67
4.3.1 SUPPLY CAPACITY AND DEMAND, INVESTMENTS: HAFNIUM & ZIRCONIUM 68
4.4 REGIONAL TRENDS – METAL PRECURSORS 69
4.4.1 REGIONAL TRENDS – METAL PRECURSORS 70
4.4.2 REGIONAL TRENDS AND DRIVERS 71
4.4.3 REGIONAL TRENDS AND DRIVERS, CONTINUED 72
4.5 CVD AND ALD EQUIPMENT MARKET 73
4.5.1 WFE FORECAST: ALL TYPES 74
4.5.2 WFE FORECAST: DEPOSITION, ETCH & CLEAN, LITHOGRAPHY,
METROLOGY ETC. 75
4.6 TECHNICAL DRIVERS /MATERIAL CHANGES AND TRANSITIONS BY DEVICE TYPE 76
4.6.1 GENERAL TREND LAST DECADE GOING FROM PVD & LPCVD TO PECVD & ALD 77
4.6.2 ADVANCED LOGIC NODE HVM ESTIMATE 78
4.6.3 DRAM NODE HVM ESTIMATE 84
4.6.4 3D NAND NODE HVM ESTIMATE 87
4.6.5 SUMMARY OF OPPORTUNITIES BY DEVICE SEGMENT 92
4.7 SEMICONDUCTOR PROCESS & MATERIALS TRENDS 94
4.7.1 ETCH PROCESS BY DEVICE TYPE—ATOMIC LAYER ETCHING ALE 95
4.7.2 AREA SELECTIVE DEPOSITION 105
4.7.3 DIRECTED SELF ASSEMBLY (DSA) AND EUV 106
4.7.4 DIRECT SELF ASSEMBLY (DSA) AND EUV 107
4.7.5 2D TRANSITION METAL DICHALCOGENIDES (TMD) 108
4.7.6 DRY RESIST FOR EUV 109
4.7.7 UNDERLAYERS FOR EUV RESIST 112
4.7.8 OTHER APPLICATIONS – CHAMBER COATINGS BY ALD (Y2O3) 114
4.7.9 OTHER APPLICATIONS – OPTICS 115
4.8 EHS AND LOGISTIC ISSUES – ZIRCONIUM AND HAFNIUM 116
4.8.1 EHS AND LOGISTIC ISSUES – ZIRCONIUM AND HAFNIUM 117
4.8.2 EHS AND LOGISTIC ISSUES – TITANIUM 118
4.8.3 EHS AND LOGISTIC ISSUES – TUNGSTEN 119
4.8.4 EHS AND LOGISTIC ISSUES – TUNGSTEN 120
4.8.5 EHS AND LOGISTIC ISSUES – COBALT 121
4.8.6 EHS AND LOGISTIC ISSUES – RUTHENIUM 122
4.8.7 GREEN HOUSE GASES FROM SEMICONDUCTOR PRODUCTION 123
4.8.8 EUV AND ENERGY 126
4.8.9 ASSESSING THE ENVIRONMENTAL IMPACT OF ATOMIC LAYER DEPOSITION
(ALD) PROCESSES AND PATHWAYS TO LOWER IT 127
4.9 CHANGES IN STANDARD PACKAGING/VALVE TYPES 128
4.10 MARKET ASSESSMENT 129

5 Segment Market Statistics & Forecasts 130

5.1 PRECURSOR MARKET – HISTORICAL AND 5-YEAR FORECAST 131
5.1.1 CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2021 TO 2027 132
5.1.2 SUPPLY-DEMAND FORECAST – WF6 (UNTIL 2023) 133
5.1.3 ASSESSMENT- METAL & HIGH-K 134
5.2 M&A ACTIVITIES 135
5.2.1 M&A ACTIVITIES – MERCK & MECARO 136
5.3 NEW PLANTS 137
5.3.1 NEW PLANTS 138
5.3.2 NEW PLANTS 139
5.3.3 NEW PLANTS 140
5.3.4 NEW PLANTS 141
5.4 SUPPLIER PLANT CLOSURES – NONE REPORTED 142
5.5 NEW ENTRANTS – DRY RESIST CONSORTIUM 143
5.6 PRICING TRENDS 144
5.6.1 PRICING TRENDS – HAFNIUM 145

6 Sub Tier Material Supply Chain 147

6.1 SUB-TIER SUPPLY-CHAIN: INTRODUCTION 148
6.1.1 SUB-TIER SUPPLY-CHAIN: DISRUPTIONS & CHINA 149
6.2 LOGISTICS 151
6.2.1 LOGISTICS, CONTINUED 152
6.3 SUB-TIER SUPPLY-CHAIN “NEW” ENTRANTS – NONE REPORTED 153
6.4 SUB-TIER SUPPLY-CHAIN PLANTS UPDATES-NEW – NONE REPORTED 154
6.5 SUB-TIER SUPPLY-CHAIN TECHCET ANALYST ASSESSMENT 155

7 Supplier profiles 166

ADEKA CORPORATION
AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
AZMAX CO., LTD
CITY CHEMICAL LLC
DNF CO., LTD
…and 20+ more

LIST OF FIGURES

FIGURE1: METAL PRECURSORS MARKET SHARES 2022 12
FIGURE 2: TOTAL PRECURSOR MARKETS REGIONAL 2022 13
FIGURE 3: TOTAL PRECURSOR MARKET, M USD 14
FIGURE 4: CVD/ALD METAL & HIGH-K PRECURSORS 2021 TO 2027 15
FIGURE 5: WF6 SUPPLY VS. DEMAND THROUGH 2027 17
FIGURE 6: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION 18
FIGURE 7: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2022) 30
FIGURE 8: WORLDWIDE SEMICONDUCTOR SALES 31
FIGURE 9: TECHCET’S TAIWAN SEMICONDUCTOR INDUSTRY I NDEX (TTSI)* 32
FIGURE 10: 2023 SEMICONDUCTOR INDUSTRY REVENUE GROWTH FORECASTS 33
FIGURE 11: 2022 SEMICONDUCTOR CHIP APPLICATIONS 34
FIGURE 12: MOBILE PHONE SHIPMENTS WW ESTIMATES 35
FIGURE 13: WORLDWIDE PC AND TABLET FORECAST 36
FIGURE 14: ELECTRIFICATION TREND BY WORLD REGION 37
FIGURE 15: SEMICONDUCTOR AUTOMOTIVE PRODUCTION 38
FIGURE 16: TSMC PHOENIX INVESTMENT ESTIMATED WILL BE US $40 B 40
FIGURE 17: CHIP EXPANSIONS 2022-2027 US$366 B 41
FIGURE 18: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD 43
FIGURE 19: GLOBAL TOTAL EQUIPMENT SPENDING BY SEGMENT (US$ B) 44
FIGURE 20: OVERVIEW OF ADVANCED LOGIC DEVICE TECHNOLOGY ROADMAP 45
FIGURE 21: INTEL OHIO PLANT SITE FEB. 2023 AND ARTIST RENDERING
(ON BOTTOM) 46
FIGURE 22: EUROPE CHIP EXPANSION UPSIDE 49
FIGURE 23: PORT OF LA 50
FIGURE 24: TECHCET WAFER START FORECAST BY NODE SEGMENTS** 51
FIGURE 25: GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK 52
FIGURE 26: FORECASTS – WAFER STARTS 2021 TO 2027 56
FIGURE 27: FORECASTS – WAFER STARTS LOGIC 300 MM 57
FIGURE 28: FORECASTS – WAFER STARTS DRAM 300 MM 58
FIGURE 29: FORECASTS – WAFER STARTS NAND 300 MM 59
FIGURE 30: 3DNAND MARKET SHARE 2022 62
FIGURE 31: 3DNAND STRUCTURE 63
FIGURE 32: MO PRECURSORS 64
FIGURE 33: PATENT FAMILIES FILED FOR MOLYBDENUM ALD IN THE MEMORY SPACE 65
FIGURE 34: WAFER START FORECAST SHOWING TWO TIMING SCENARIOS WHERE MO
COULD BE INTRODUCED 66
FIGURE 35: ZIRCONIUM METAL DEMAND 2021 1.6 MILLION TONNES 68
FIGURE 36: HAFNIUM METAL DEMAND 2021 78 TONNES 68
FIGURE 37: METAL PRECURSORS MARKET SHARES 2022 70
FIGURE 38: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION 73
FIGURE 39: SEMI 2022 SEMICONDUCTOR EQUIPMENT FORECAST 74
FIGURE 40: 2022 TECHINSIGHTS WFE SPENDING (TOP) AND 2022 GARTNER WFE
SPENDING PER NODE (BOTTOM) 75
FIGURE 41: 3D DEVICE ARCHITECTURES 76
FIGURE 42: LOGIC TECHNOLOGY NODE ROADMAP FOR LEADING IDMS 77
FIGURE 43: SAMSUNG START 3 NM PILOT RAMP USING GAA-FET TECHNOLOGY
JUNE 2022 79
FIGURE 44: IMEC 2022 LOGIC ROADMAP 80
FIGURE 45: SCALING AND LITHOGRAPHY TRENDS – A HIGH COST IN CAPITAL
EXPENDITURE, ENERGY AND EMISSIONS 81
FIGURE 46: APPLIED MATERIALS CENTURA PATTERN SHAPING CLUSTER 82
FIGURE 47: DRAM TECHNOLOGY ROADMAP FOR LEADING IDMS 84
FIGURE 48: IP FILING IN THE FIELD OF 3DRAM IS ACCELERATING 85
FIGURE 49: NAND TECHNOLOGY ROADMAP FOR LEADING IDMS 87
FIGURE 50: PATHWAYS FOR CONTINUED 3D NAND SCALING 88
FIGURE 51: 3DNAND STACK TRENDS FROM <100L TO 4 STACKS 89
FIGURE 52: MEMORY STACK CHALLENGES FOR V-NAND 90
FIGURE 53A: FINFET TO GAA TRANSISTOR DIAGRAMS SHOWING SELECTIVE
ETCHING IS NEEDED TO ADDRESS DEVICE COMPLEXITY 95
FIGURE 53B: ALE PROCESS CYCLE 95
FIGURE 54: PERIOD TABLE INDICATING CANDIDATES FOR ALE
(ISOTROPIC ETCHING) 96
FIGURE 55: APPLICATION OF ALE (ISOTROPIC ETCH) 97
FIGURE 56: ALD AND ALE COMBO PROCESS 98
FIGURE 57: PLASMA AND THERMAL ALE PROCESSES 99
FIGURE 58: LAM ALE PROCESS 100
FIGURE 59: ALD / ALE PROCESS ROADMAP 101
FIGURE 60: ALE PATENT ACTIVITY BY COMPANY THROUGH 2022 102
FIGURE 61: AREA SELECTIVE SIN DEPOSITION BY ALD (AVS ASD2022) 105
FIGURE 62: DSA AND EUS PROCESSES 106
FIGURE 63: RESIST RECTIFICATION WITH DSA 107
FIGURE 64: TEM AND ARTIST RENDERING OF MONOLAYER CHANNEL FORMATION 108
FIGURE 65: EUV LITHOGRAPHY ENABLING GATE STRUCTURES AND PITCH SCALING 109
FIGURE 66: DRY RESIST FOR EUV SEM IMAGE 110
FIGURE 67: SPIN ON CARBON (SOC) DIELECTRIC FOR EUV METAL OXIDE
RESISTS PATTERNS AFTER LITHO 111
FIGURE 68: UNDERLAYER (DIELECTRIC) HARDMASKS TRENDS FOR NIGH NA EUV 112
FIGURE 69: SPIN ON PRIMER (SOC) VS. HMDS PRIMER 113
FIGURE 70: Y2O3 ALD VS. SPRAY COATINGS 114
FIGURE 71: GREENHOUSE GAS CONTRIBUTIONS OF CHIP FAB MATERIALS
AND EQUIPMENT 123
FIGURE 72: ENVIRONMENTAL IMPACT (GWP) OF VARIOUS PROCESSES AND GASES 124
FIGURE 73: CO2EQ OUTPUT FROM ETCH GASES (SOURCE: IMEC) 125
FIGURE 74: TOTAL EMISSIONS AND ENERGY USE PROJECTION PER LOGIC NODE 126
FIGURE 75: ENVIRONMENTAL IMPACT OF ALD 127
FIGURE 76: SEGMENTATION OF THE AMPOULE FLEET 2020 128
FIGURE 77: TOTAL PRECURSOR MARKET, M USD 131
FIGURE 78: CVD/ALD METAL & HIGH-K PRECURSORS 2021 TO 2027 132
FIGURE 79: WF6 SUPPLY VS. DEMAND THROUGH 2027 133
FIGURE 80: WHAT IS EUV DRY RESIST? 143
FIGURE 81: HAFNIUM METAL SPOT PRICING 145
FIGURE 82: TYPICAL NON-HALIDE LIGANDS USED FOR ALD PRECURSORS 148
FIGURE 83: EXAMPLES OF PRECURSORS SUPPLIED BY SHIP 151
FIGURE 84: OCEAN CONTAINER PRICE INDEX – JULY ‘20 TO MARCH ‘23 152

LIST OF TABLES

TABLE 1: DIELECTRIC PRECURSOR REVENUES BY REGION (US$ M) 14
TABLE 2: TOTAL PRECURSOR MARKETS BY REGION (US$ M) 15
TABLE 3: 2017 TO 2027 5-YEAR CAGRS 16
TABLE 4: GLOBAL GDP AND SEMICONDUCTOR REVENUES* 32
TABLE 5: IMF ECONOMIC OUTLOOK* 33
TABLE 6: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES MARKET
SPENDING 2022 43
TABLE 7: PRECURSOR USAGE OF LEADING IDMS 73
TABLE 8: DIELECTRIC PRECURSOR MARKET SIZE BY REGION 75
TABLE 9: TOTAL PRECURSOR MARKET SIZE BY REGION 76
TABLE 10: REGIONAL PRECURSOR MARKETS 77
TABLE 11: REGIONAL WAFER MARKETS, CONTINUED 78
TABLE 12: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND
MATERIAL FOR LOGIC DEVICES 89
TABLE 13: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND
MATERIAL FOR DRAM 92
TABLE 14: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND
MATERIAL FOR 3DNAND 97
TABLE 15: GAS TRENDS AND OPPORTUNITIES BY DEVICE TYPE 98
TABLE 16: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL 99
TABLE 17: PRECURSOR 5-YEAR CAGR COMPARISON 138
TABLE 18: ZIRCONIUM MINING PRODUCTION AND RESERVES 156
TABLE 19: WORLDWIDE TUNGSTEN PRODUCTION AND RESERVES 159
TABLE 20: ZIRCONIUM MINING 160


[プレスリリース]
ALD/CVD Precursor Markets – Burgeoning Applications

Advanced Logic and Memory Applications require more deposition materials.

San Diego, CA, June 27, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— reports that the Total ALD/CVD precursor market grew 21% in 2021, reaching US$1.39 billion and is forecasted to grow 12% in 2022. The 2022 Precursor market will top US$1.56 billion due to strong industry growth overall, driven by higher production volumes of < 7nm logic devices and higher increased stacking and layers in 3DNAND devices. The transition to EUV lithography for DRAM fabrication will also result in opportunities for increased precursor revenues. More details on these market trends will be revelaed in TECHCET’s presentation given at the 2022 ALD Conference, starting this week in Ghent, Belgium, by Jonas Sundqvist, Ph.D., or can be found in TECHCET’s newly released Critical Materials Reports™ on ALD/CVD Metal Precursors and Dielectric Precursors.

世界の前駆体収益 - Techcet

“ALD and CVD are a materials and chemistry rich industry segment with major development efforts in place, with strong prospects for growth, and for the need of new materials”, states Jonas Sundqvist, Sr. Technology Analyst at TECHCET. “New manufacturing solutions designed to meet both cost and performance will rely on ALD precursor materials.”

New materials and related process technologies are being driven by changes in device design. For advanced logic, new precursors are required for transistors to form high-κ gate dielectrics, metal gate electrodes, strain/stress epi of the channel and channel materials. DRAM memory cells continue pushing for higher-κ capacitors. And advanced devices, especially logic, demand improved interconnect wiring, barriers, seed layers, selective via capping and encapsulation, insulators, as well as new and/or more dielectrics to support EUV and advanced ArFi photolithography.

Emerging challenges persist as a result of continued dimensional scaling addressed with materials, especially new materials deposited by ALD. Area selective deposition has been a trend in the past 5 years with a growing R&D community to implement this approach in future devices.